Halaman

Sahabatku,tidak selalu orang yang diberi kesuksesan,kekayaan,kekuasaan,popularitas, kecantikan itu tanda ALLAH memuliakan merka,boleh jadi itu "istidraz" kesenangn sesaat yg membawa musibah panjang diakhirat kelak,dimana sbaliknya,kmiskinan,penyakit,cacat,pnderitaan,penin
dasan,ALLAH menghinakn merka,boleh jadi itu ujianNYA,ampunanNYA,derazat... disisiNYA, "susah sesaat didunia lalu bahagia selamanya di akhirat (QS al Fajr 15-16),SUBHANALLAH.

Sabtu, 28 April 2012

Interaksi Manusian dan Komputer


Xilink merupakan suatu perangkat lunak yang berguna untuk merancang dan mensimulasikan suatu rangkaian digital. Dengan menggunakan Xilink proses perancangan suatu alat atau rangkaian digital melalui proses simulasi rangkaian yang telah dirancang untuk melihat apakah rancangan yang telah dibuat sudah benar atau masih mengandung kesalahan.

Sebenarnya tahapan atau proses perancangan alat atau rangkaian digital menggunakan Xilinx sama seperti merancang suatu rangkaian logika secara manual akan tetepi kelebihan menggunakan simulator Xilinx dapat diminimalisasi kesalahan pada proses perancangan. Sebelum mulai merancang rangkaian pada Xilink minimal sudah dilakukan rancangan state diagram atau tabel kebenaran (truth tables) dari spesifikasi rangkaian atau alat yang ingin dibuat. Kalau tidak mempunyai rancangan tersebut, Xilink tidak dapat membantu dalam merancang alat tersebut.

Untuk perancangan rangkaian digital, Xilink mempunyai tiga cara, yaitu dengan menggunakan State Diagram, HDL (Hardware Description Language) dan Schematic. Dalam perancangan bisa menggunakan salah satu cara saja atau menggabungkan ketiga cara tersebut. Untuk HDL, Xilink dapat menggunakan dua bahasa pemrograman yaitu ABEL dan VHDL.

cara menggunakan perangkat lunak (xillinx) ini adalah sebagai berikut: 
#Contoh Dasar:
langkah pertama kita klik Start>>All Programs>>Xillinx ISE
  • pilih file >> new project
lalu akan muncul tampilan seperti dibah ini:
 

lalu kita tulis nama project yang kita mau, kemudian klik next>.
  • Setelah itu setting  Family, Device dan Package pada Select the Device and Design Flow for the Project. lalu Next>>>>>>>>>>>>>>>Klik Finish 
 
 
  • Kemudian akan muncul tampilan seperti dibawah ini. (Klik kanan pada xc3e500efg320 dan pilih new source untuk memulai(merancang skematik pada project tsb)

 

  • Setelah New Source kita pilih schematic dan kita isi file namenya kemudian Next>>>Finish.
 

  • Untuk membuat gerbang logika yang kita inginkan, kita tulis pada kotak Symbol Name Filter. misal kita tulis gerbang and dengan 2 inputan yaitu dengan kita tulis and2 pada kotak Symbol Name Filter tsb,yang dimaksud dengan and2 itu adalah: and itu adalah gerbang yang kita ingin tampilkan dan 2nya itu merupakan inputan, berarti jika kita menginginkan gerbang and dengan 3 inputan itu adalah and3.
 



  • kemudian setelah itu kita beri inputan dan outputan pada gerbang tsb. dengan menggunakan Add I/O Marker seperti gambar dibawah ini.
 
  • jika kita ingin mengubanh nama inputan atau nama outputannya kita bisa double klik pada inputan atau outputan yang telah kita kasih.
 
  • setalah itu kita pilih sources dan double klik pada Synthesize - XST untuk mengcompail schematic tsb sehingga terdapat bacaan completed successfully..
 

  • jika sudah terdapat bacaan completed successfully seperti gambar diatas, kita ganti Synthesis/Implementation menjadi Behavioral Simulation seperti gambar di bawah ini.
 
  • kemudian setelah mengganti Synthesis/Implementation menjadi Behavioral Simulation kita klik kanan pada nama project tadi yang telah kita buat dan klik New Source seperti langkah pada awal. dan pilih Test Bench WaveForm untuk memasukan inputan logika pada gerbang tesebut sesuai tabel kebenaran pada gerbang tersebut,dan kita isi file name yang kita mau lalu klik Next.
 



 
  •  selanjutnya akan muncul tampilan seperti dibawah ini dan pilih Combinational karna kita masih memakai gerbang dasar(belum menggunakan clock) pada project in dan klik Finish setelah mengatur semua itu.
 


  • selanjutnya kita beri inputan logika sesuai tabel kebenaran yang ada pada gerbang tersebut. logika tersebut bernilai 1 jika gelombang kotak tsb mengarah keatas dan bernilai 0 ke arah bawah. contohnya pada gambar dibawah ini. 
 
Tabel kebenaran
  • setalah memberi inputan jangan lupa kita save terlebih dahulu sebelum kita melihat output dari logika gerbang yang tadi telah kita buat, kemudian kita pilih processes kita double klik pada Simulate Behavioral Mode untuk melihat Simulasi tsb
 

lalu akan muncul output simulasi seperti gambar dibawah ini.
 


Kita dapat simpulkan bahwa Perangkat lunak diatas merupakan salah satu alasan mengapa kita membutuhkan mempelajari mata kuliah Interaksi Manusia dan Komputer.karena pada dasarnya Interaksi Manusia dan Komputer itu sebenarnya adalah Sebuah hubungan antara manusia dan komputer yang mempunyai karakteristik tertentu untuk mencapai suatu tujuan tertentu dengan menjalankan sebuah sistem yang menggunakan antarmuka (interface) atau bisa dibilang Media yang memungkinkan manusia berinteraksi dengan komputer untuk memberikan suatu perintah kepada komputer”.